Russia Defence Forum

Would you like to react to this message? Create an account in a few clicks or log in to continue.

Military Forum for Russian and Global Defence Issues


+25
Dima
kvs
GunshipDemocracy
Scorpius
flamming_python
thegopnik
caveat emptor
Robert.V
Rodion_Romanovic
walle83
Hole
miketheterrible
PhSt
Tsavo Lion
JohninMK
max steel
AlfaT8
Werewolf
sepheronx
George1
nemrod
Pervius
GarryB
Admin
nightcrawler
29 posters

    Chinese Science and Technology

    kvs
    kvs


    Posts : 15130
    Points : 15267
    Join date : 2014-09-11
    Location : Turdope's Kanada

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  kvs Sun Sep 24, 2023 9:53 pm

    These journo-tards keep bleating about 3 nm process chips as if they are real. Anything under 10 nm is full on marketing drivel. All of it.
    IBM may make token 2 nm parts but good luck getting a CPU out of them. But Apple sure as f*ck does not produce anything on
    some fictional 3 nm process. This whole aspect highlights the decline of modern society including journalism and the sheeple MSM consumers.
    Some market-tard jargon becomes "reality".

    TSMC hypes 7, 5 and 3 nm which do not exist in reality. And they never will. Anyone scoffing at my use of the term "never" needs to
    buy a clue. At 3 nm one is entering the molecular cluster scale. There is no material continuum thermodynamics which is required
    for any functionality of transistors. At 3 nm all you will get out of your "transistor" is random switching noise.

    IBM makes super-cooled atom movies to pimp BS to the proles. Nobody is going to run CPUs at liquid nitrogen temperatures.

    GarryB, Dima, Hole and Broski like this post

    lancelot
    lancelot


    Posts : 2696
    Points : 2694
    Join date : 2020-10-18

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  lancelot Mon Sep 25, 2023 12:51 am

    People are dismissing this Chinese achievement way too easily. The US's leading semiconductor vendor, Intel, is still stuck at 7nm. So the Chinese achieved parity with the US in semiconductor fabrication. Were it not for the sanctions on EUV equipment, SMIC would likely be leading, and would be hitting 5nm or better at this point.

    The better than 7nm fabrication available right now is all located in Taiwan and South Korea, and is easily within reach of Chinese short range ballistic missiles. So all this chest thumping by the West of being ahead of China is really near sighted. What this actually shows is that the old West cannot compete with China in industrial power anymore. They have to rely on sanctions and coaxing their satraps to hobble Chinese industry.

    This fabrication at 7nm is most likely still being done with Western tools. But the Chinese already have their own 28nm capable tools for everything but the lithography at this point. For those in the know, going from 28nm to 7nm tools wise, isn't particularly hard. And the lithography machine, it is claimed that their own 28nm DUV lithography machine will enter production this year, with production of machines capable of lower resolution in another year or two.

    Also, the HiSilicon Kirin 9000S is not 12-core. It is an octa-core processor. It has four large cores and four small cores. The four large cores are based on a server chip core design by HiSilicon themselves. They have the equivalent of Hyper-Threading i.e. two-way SIMT in them. So software which does not factor this in can see the large cores as two cores instead of one, because each of the large cores can run two threads. 2x4 + 4 = 12.


    Last edited by lancelot on Mon Sep 25, 2023 1:02 am; edited 1 time in total
    kvs
    kvs


    Posts : 15130
    Points : 15267
    Join date : 2014-09-11
    Location : Turdope's Kanada

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  kvs Mon Sep 25, 2023 12:58 am

    Once China got its own 28 nm lithography machine it had 10-14 nm resolution a step away. It also clearly has mastered FinFet so
    TSMC and Intel were sidelined. The 7 nm drivel is a tiresome diversion.

    All of them are stuck at 10 nm because, as promised a long time ago, this is the effective size limit for viable silicon oxide process.
    No amount of marketing drivel will remove this barrier and give us real 3 nm parts. They may go for exotic materials to really
    reach 7 nm but no freaking way is this going to be volume production.

    Dima likes this post

    lancelot
    lancelot


    Posts : 2696
    Points : 2694
    Join date : 2020-10-18

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  lancelot Mon Sep 25, 2023 1:07 am

    Like I said, the Chinese still do not have a 28nm lithography machine. Supposed to enter production this year. If it is not delayed again.
    Also, unlike their 90nm machine, this one is expected to be competitive with ASML lithography machines in the same class. It is expected to have similar production rate and capabilities.

    But, right now, SMIC is still using imported machines.

    The Chinese already are competitive in low end lithography machines used in packaging at lower resolutions. It is only a matter of time until they muscle in the middle end of the lithography sector I think. They basically have the largest market in the world for middle end lithography. The Chinese have easily conquered the video display chip market for example. These are the chips used to drive LCD displays and the like. Their consumer electronics industry is huge, and they have been working to switch to their own production for years. Just think of all the chips needed on a modern television or set top box.
    kvs
    kvs


    Posts : 15130
    Points : 15267
    Join date : 2014-09-11
    Location : Turdope's Kanada

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  kvs Mon Sep 25, 2023 3:11 am

    How do you know that they do not have it ready? Do they need to advertise to the hostile west everything they do. Clearly the US
    has been caught with its pants down.

    China was supposedly unable to produce "7 nm" parts according to the same media you are using to base your statements on.

    GarryB and Dima like this post

    lancelot
    lancelot


    Posts : 2696
    Points : 2694
    Join date : 2020-10-18

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  lancelot Mon Sep 25, 2023 4:29 am

    Because I follow these news quite closely. The Chinese 28nm litho tool is being developed by a company called SMEE. It is supposedly being tested at the Shanghai ICRD, kind of the Chinese equivalent of IMEC in Belgium, but is still not in production yet.

    If their 28nm lithography tool was in series production, you would see sales of foreign lithography tools drop like a stone, but the opposite has been happening. The Chinese have been gobbling Western lithography tools up ahead of the sanctions entering in effect.

    Recent press releases from Taiwan claim the Chinese in the PRC only produce like 10% of the lithography tools they need, but they do produce like 50% of the other tools by value by themselves. And the Chinese tools industry has been growing at multiple times the world average for several years at this point. Funnily enough it is the tools that the US themselves still make, like the ones by Applied Materials and Lam Technology, which the Chinese have most production of and are closest to replacing. Lithography tools are usually made either in the Netherlands or Japan. US companies have basically no market share in litho tools.

    As for the 7nm, there were news of SMIC making 7nm chips a year ago, the MinerVa cryptomining ASIC.
    https://www.techinsights.com/blog/disruptive-technology-7nm-smic-minerva-bitcoin-miner

    You can read about it in TechInsights. It is just that ASIC was a tiny chip in comparison. So it was something they could manufacture even with low yields and high defect rate. These chips are different.
    Kiko
    Kiko


    Posts : 2839
    Points : 2883
    Join date : 2020-11-11
    Age : 75
    Location : Brasilia

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  Kiko Mon Sep 25, 2023 9:23 am

    Further details:

    Chip of contention: China struck back in the sanctions war, by Dmitry Migunov for Izvestia. 09.25.2023.

    Beijing began to respond to US bans in the semiconductor sector.

    China has achieved new successes in its confrontation with the United States over chip production. In March 2023, Huawei CEO Eric Xu said that the Chinese semiconductor industry would be “reborn” as a result of US sanctions. Then the businessman’s words were taken as polemical exaggeration, but six months later the company introduced technological innovations based on its own production. Huawei has successfully developed the architecture for processors in its new smartphone, and SMIC has begun producing microchips using 7-nanometer technology - one of the most modern and sufficient for the production of most computer equipment. Progress is going faster than analysts predicted, and America failed to stop the Chinese semiconductor industry with sanctions. Details are in the Izvestia article.

    Plank at 7 nm

    Reports that Shanghai-based Semiconductor Manufacturing International Corporation (SMIC) has achieved 7nm chip manufacturing technology have been appearing over the past few months. The analytical company TechInsights said in August that the Chinese produce many chips for mining machines (ASICs) that are similar to the products of Taiwanese TSMC, the largest semiconductor manufacturer in the world.

    For a long time, it was believed that SMIC was only capable of small-scale production. But in early September it became known that 7-nanometer Kirin 9000s processors were installed in the new flagship smartphone Huawei Mate 60 Pro.

    The phone has already reached the mass market, and now there is no doubt about SMIC's ability to produce chips in huge quantities. The company itself states that in 2023 it intends to produce 15 million phones with Kirin 9000s chips, and in 2024 production will increase almost fivefold - to 70 million.

    New achievements do not yet allow the corporation to quickly regain leadership in the smartphone market in China (for comparison: TSMC produces 200 million processors a year for Apple alone), but given the growth rate of chip production, the prospects are quite decent.

    Note that Huawei, unlike SMIC, has been under direct US sanctions for several years , but has succeeded in developing chip architecture for its own phones. Four of the eight processors powering the Mate 60 Pro are native Huawei designs, while the rest are licensed from British ARM. The latter provides the design of most processors in smartphones of various brands. The exception was Apple, which independently works on the design of its chips. Now there is a new player in this league.

    For Huawei, this is a critical step to overcome tough US sanctions. Any interaction with foreign corporations, including ARM, now carries risks, since it is unclear at what point US Commerce Department lawyers can demand compliance from the corporations involved. However, in the case of ARM, this may not be easy, since the company has a joint venture in China, and it does not fully control it.

    Mature industry

    The emergence of new processors also indicates the maturity of the Chinese industry, which has not existed for many years. Huawei, by the way, designed these chips based on the architecture of processors for servers in data centers. Such a transition has not yet been achieved by any of the corporations operating in this industry.

    At the same time, this also indicates the very limited success of the sanctions pressure that the United States has undertaken against the Chinese semiconductor industry. When Washington introduced export controls on the supply of semiconductor products to China last October, some analysts assumed that such strict measures could set China back years, if not decades.

    But already in March, flash memory manufacturer YTMC announced the launch of a new plant in Wuhan with the intention of soon doubling production volumes (previously it was about 100 thousand chips per month). Now this company, which was considered almost the main “victim” of American restrictions, occupies about 5% of the relevant market and is in sixth place in the world. Its growth rate is such that YTMC can meet all of China's NAND memory needs (necessary for SSD drives) by 2025.

    It was believed that sanctions would deprive China of the ability to produce 7-nanometer chips, which are among the most advanced (although 3 nm and 5 nm processes already exist, and the latter have gone into mass production).

    Making such chips requires state-of-the-art photolithography machines using EUV (extreme ultraviolet) technology, which China did not have. However, it turned out that such chips can also be produced using lithography machines with DUV (deep ultraviolet) technology. This is slightly less effective, but the difference in cost can be easily compensated with the help of small subsidies, which is quite justified in conditions of trade pressure.

    In addition to lithography, there are other equipment and components for extremely complex chip production. Today it is provided by almost the entire world. But here export control is completely useless, since all equipment can equally be used both for advanced 7 nm technological processes and for simpler ones “allowed” by the American administration.

    The situation with photolithography is different, and here control mechanisms can be effective, since there are essentially no alternatives to equipment produced by the Dutch ASML on the market. However, there are a number of nuances here too. Blogger Dylan Patel, popular among those interested in the topic of semiconductors , notes that even next-generation technological processes (5 nm) are within the capabilities of the Chinese with the equipment that they can obtain without violating existing restrictions. They will most likely be able to have working 5nm chips by 2025 and mass produce them within the next year or two.

    In addition, China continues to develop its own photolithographic production. In August, Shanghai SMEE announced the start of production of its own machine with a 28 nm process technology, which is a leap through several steps at once - until recently, the Chinese capabilities in this area were limited to 90 nm machines.

    Only a complete ban

    In fact, the only way to stop the development of the Chinese semiconductor industry would be comprehensive restrictions on almost the entire range of equipment and components, says Dylan Patel. In practice, this does not seem realistic: justifying such a gesture would be incredibly difficult, it would lead to multi-billion dollar losses for corporations from the Netherlands, Japan, South Korea and at the same time could entail extreme retaliatory measures from China - the United States and European countries are largely dependent on supplies from China rare earth elements and other vital metals.

    Apparently, the current “sieve sanctions” will continue and will continue to complicate, but not stop, the work of the Chinese industry. That is, they will not in any way interfere with the development of China’s research in the field of artificial intelligence. As for the military sphere, it will not suffer at all: in 90% of cases, chips that are primitive by today’s standards are more than enough for it, which China and a number of other countries can produce “from start to finish” without including foreigners in the production chain at all.

    What does this mean for the world at large? The practice of the “chip war” of recent years has shown that maintaining the spread of technologies, even no matter how complex, is an almost impossible task. Something else is more interesting. In the world of rampant globalization in the 1990s and 2000s, the sector developed an almost perfect division of labor, with semiconductors produced by a global effort.Now the US attempts to return the industry to its homeland, on the one hand, and restrictions on China, on the other, are leading to the fact that this market is beginning to fragment. This may result in some reduction in production efficiency. But the reverse side of the process will be increased competition, which, regardless of the results of the confrontation between powers, will be a big win for all consumers of the products of this most important industry of our time.

    https://iz.ru/1577718/dmitrii-migunov/chip-razdora-kitai-nanes-v-voine-sanktcii-otvetnyi-udar

    kvs likes this post

    kvs
    kvs


    Posts : 15130
    Points : 15267
    Join date : 2014-09-11
    Location : Turdope's Kanada

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  kvs Mon Sep 25, 2023 1:31 pm

    "Primitive". What a stupid joke. The process resolution does not make any IC "sophisticated". The functionality is in the transistor layout.
    The days when the process resolution was a major constriction on the complexity of components have passed decades ago.

    Using a bleeding edge high resolution process IC in military equipment is stupid. Coarser resolution is more robust to EW attack and does not
    require extreme cooling to operate. A major advantage of the Elbrus CPU is its low power consumption. Anyone who thinks that "7 nm"
    process resolution is needed for military electronics needs their head examined. This is fanboi-think. Dial every "advanced" aspect to the max
    to be the "best".

    So what are these "DUV" machines? If the story about China is correct, then these must be imports. China must have ASML EUV lithography
    machines already.

    Dima and lancelot like this post

    kvs
    kvs


    Posts : 15130
    Points : 15267
    Join date : 2014-09-11
    Location : Turdope's Kanada

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  kvs Mon Sep 25, 2023 4:55 pm

    https://www.tomshardware.com/news/china-semiconductor-manufacturing-ceo-says-sanctions-barely-hurt

    China Chipmaker Says it Is Replacing Sanctioned Tools Rapidly

    According to the founder of one of China's leading etching equipment manufacturers, Advanced Micro-Fabrication Equipment (AMEC), the US's import restrictions will only negligibly impact his companies' capacity to operate. More specifically, Gerald Yin said that around 80% of imported (and now restricted) equipment could be replaced by domestic alternatives by the end of the year. In fact, Yin said AMEC estimates it could resume full operational capacity by the second half of 2024 - a result of China's billion-yuan drive to achieve semiconductor self-sufficiency.

    AMEC expects to reach a 60% domestic dominance for the capacitively coupled plasma (CCP) etching equipment market in the next few quarters - a significant increase from its 25% overall market share as of October 2022. But the company is also eying the inductive coupled plasma (ICP) tool market - and aims to control three quarters of it almost as fast.

    There is a lot of BS in the MSM and on the internet about how "bad" things are in China.

    GarryB likes this post

    Kiko
    Kiko


    Posts : 2839
    Points : 2883
    Join date : 2020-11-11
    Age : 75
    Location : Brasilia

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  Kiko Mon Sep 25, 2023 4:58 pm

    Future chip factory with cutting-edge technology would make China a leader in the semiconductor sector, 09.25.2023.

    The unprecedented technology could overcome U.S. sanctions, making China a new leader in the semiconductor chip sector, scientists said. Plans are currently underway to build the largest particle accelerator to help researchers put the cutting-edge technology into practice.

    The particle accelerator will have a circumference of 100 to 150 meters– about the size of two basketball courts. The accelerator's electron beam will turn into a high-quality light source for on-site chip manufacturing and scientific research, according to the South China Morning Post.

    The Tsinghua University team is in active discussions with the authorities of the Xiong'an new area to select a construction site for the cutting-edge project.

    The Chinese project aims to localize manufacturing by building a huge factory with several lithography machines around a single accelerator.

    This innovation can facilitate the development of high-volume, low-cost chip production and propel China to a leading position in the industrial production of advanced microchips, known as two-nm chips, and beyond.

    Lithography systems are among the most sophisticated machine parts ever created by man. At present, extreme ultraviolet (EUV) with ultrashort wavelength is widely used in the production of chips of seven nm or less.

    Although many researchers are looking for this technology, Chinese scientists follow a different path. This project has been underway since 2017, but thanks to the advancement of the Huawei company in chip manufacturing, it has only recently become public.

    "One of the possible applications of our research is as a light source for future EUVS. I think that's why the international community is paying close attention to this issue," said project doctor and Tsinghua University professor Tang Chuanxiang.

    Due to the fact that increased attention to the project is having a negative impact on normal academic work, Tang's team has stopped accepting interviews.

    The theoretical basis of the study is a new luminescence mechanism, called Steady-State microfeixes (SSMB). This mechanism was first proposed by professor Zhao Wu of Stanford University and his student Daniel Ratner in 2010. Zhao is a former student of the famous physicist Yang Zhenning.

    In summary, SSMB theory uses the energy released by charged particles during acceleration as a light source. The result is a narrow bandwidth, a small scattering angle and pure, continuous EUV light.

    Charged particles emit light when they are accelerated, and accelerators that use this phenomenon are among the brightest artificial light sources available.

    "Unlike free electron lasers, which produce pulsed lasers with high peak power, SSMB sources produce continuous light with high average power," said Zhao Wu.

    The establishment of a chip factory depends on funds and other engineering details. But the most important thing is that new ideas can bring new technical avenues.

    With no progress of the project released to the public, Tang expected more efforts from staff and industry to help develop the SSMBs.

    "Being a completely new light source, experimental verification of the technology was implemented. But it is necessary to build a solid SSMB Light Source Research device operating in the EUV band," said professor Tang.

    Tang believes that the technology could help China get rid of future sanctions, but did not talk about the specific progress of an SSMB-based lithography machine.

    "We are still a long way from developing EUV lithography machines independently, but SSMB-based EUV light sources offer us an alternative to sanctioned technologies," Tang added.

    The creation of SSMB-EUV light sources will provide new tools for Advanced Research in materials science, basic physics, biochemistry and other disciplines, according to the professor's statement.

    Yandex Translate from Portuguese

    https://sputniknewsbr.com.br/20230925/futura-fabrica-de-chips-com-tecnologia-de-ponta-tornaria-china-lider-no-setor-de-semicondutores-30479530.html

    GarryB and kvs like this post

    Dima
    Dima


    Posts : 1222
    Points : 1233
    Join date : 2012-03-22

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  Dima Sun Oct 01, 2023 8:34 am

    Kirin9000S was shown with both 8 cores & 12 cores with hyperthreading on the very day of its launch/sales.
    One was shown with 2 clusters of 4 high and 4 low cores. Many, out of shock, got struck with this spec. Coz, it was suppose to be impossible for Huawei to break the crippling US sanctions on Chip development & manufacturing.
    Chinese Science and Technology - Page 4 Mate6010
    Chinese Science and Technology - Page 4 20230810
    Chinese Science and Technology - Page 4 20230811
    ^ Huawei may release the official spec and other details sometime in the future, so don't get surprised if the spec mentions a 5nm process chip, as shown above.
    This spec seen in the above screenshot was the one GSMarena also initially placed on their website, but changed it to 8 cores (1+3+4) and 7nm.

    Initial unofficial specs released on the day of launch mentioned it as 12 core with hyperthreading.
    Chinese Science and Technology - Page 4 Mate6011

    As of now, per info, except for the smaller four cores, the rest are all inhouse.
    After the update released on 14th Sep, its 12 cores. If its due to hyperthreading or 12 physical cores...not sure, time will tell.
    Chinese Science and Technology - Page 4 Huawei10
    Chinese Science and Technology - Page 4 Sep14_11
    Chinese Science and Technology - Page 4 Sep14_10

    GarryB and kvs like this post

    Dima
    Dima


    Posts : 1222
    Points : 1233
    Join date : 2012-03-22

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  Dima Sun Oct 01, 2023 8:57 am

    Regarding DUV & EUV, Chinese target was to achieve the highend by 2025. And they are well on their way to have the commercial availability of the EUV by 2025.

    So don't get surprised if in next year or so Huawei reveals more and if it include inhouse EUV and facilities.

    Chinese Science and Technology - Page 4 Euvaug13
    Chinese Science and Technology - Page 4 Euvaug14
    Chinese Science and Technology - Page 4 Euvaug15
    Chinese Science and Technology - Page 4 Euvaug17


    and...
    Chinese Science and Technology - Page 4 Asmlar10

    GarryB and kvs like this post

    Kiko
    Kiko


    Posts : 2839
    Points : 2883
    Join date : 2020-11-11
    Age : 75
    Location : Brasilia

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  Kiko Fri Nov 24, 2023 5:20 pm

    The US-China rivalry over chips finds a new high point, by Chimauchem Nwosu for Sputniknews.lat, 011.24.2023.

    The semiconductor industry is being revolutionized by the packaging of chips, which consists of coating them with protective materials, ensuring their safety and allowing their connection to electronic devices. In this sector of the global semiconductor industry, the top positions are occupied by the USA and China.

    The Biden administration is determined to address China's rapid advances in high-tech sectors by restricting Beijing's access to advanced semiconductor technology, specifically ultramodern chips, while boosting domestic production of these components.

    Another recent target of the American strategy is the semiconductor packaging industry. This sector, essential to improve the performance of chips, has gained prominence in the technological rivalry with China. Despite the sanctions, Beijing has shown itself adept at using this sector to expand its influence on the global market, especially in areas less affected by restrictions on the manufacture of high-end chips.
     
    Until recently, the packaging process in the semiconductor industry has been historically undervalued. This task was mainly outsourced to Asian countries, especially to China, which has become an important player in this field. The United States currently contributes a modest part — about 3% — of the world's capacity in this area.

    Advanced packaging is the aggregation and interconnection of components before traditional integrated circuit packaging and allows merging several devices (electrical, mechanical or semiconductor) and packaging them as a single electronic device. This process uses techniques that are carried out in semiconductor manufacturing facilities and, therefore, falls between traditional manufacturing and packaging.

    "Advanced packaging is the new pillar of innovation in the semiconductor industry - it will change the sector dramatically," says Jim McGregor, the founder of Tirias Research, a high-tech research and advisory company.
    In his words, for China, focusing on advanced packaging offers "an alternative path of technological progression," especially in the face of the limitations of first-level chip manufacturing. Such an approach could help China "narrow the technological gap," he added.

    The US trade war against China over semiconductors is mainly intended to hamper Beijing's ability to develop and manufacture advanced semiconductor chips, crucial for a wide range of technologies, from smartphones to military hardware.

    In addition, the Biden Administration has launched a National Advanced Packaging Manufacturing Program with a budget of 3,000 million dollars. This program aims to establish large-scale advanced packaging facilities in the United States, with the intention of decreasing dependence on Asian supply chains.
    "Biden has made it a priority to ensure America's leadership in all elements of semiconductor manufacturing, of which advanced packaging is one of the most exciting and critical areas," said White House spokeswoman Robyn Patterson.

    While Washington is only trying to improve its capabilities in advanced packaging, Beijing, within the framework of the Made in China initiative, has already established a considerable presence. With about 38% of the global market share in that sector, China, led by companies such as JCET Group, is challenging the traditional dominance of the United States and other technologically advanced nations.

    The semiconductor industry is undergoing a major transition from focusing on front-end manufacturing to focusing on advanced packaging. This change makes it possible to combine several types of chips into a single unit, resulting in more efficient and economical computing systems. China has been especially active in the use of this technology, partly overcoming its limitations in the production of advanced chips.

    The semiconductor industry is facing limitations due to Moore's law, which posits that the number of transistors on a chip doubles approximately every two years. As progress slows down, the industry faces fundamental physical barriers that make progress more difficult and expensive. In response, there has been a shift towards a modular chip design, moving away from traditional methods of cramming more components into a single piece of silicon. 

    Advanced packaging is considered fundamental to apply Moore's Law.

    The sophistication of packaging technologies is improving performance and opening new avenues of market expansion. This trend marks a significant evolution in the semiconductor industry, in which advanced packaging is emerging as a critical factor in the future evolution and dynamics of the market.

    Yandex Translate from Spanish.

    https://sputniknews.lat/20231124/la-rivalidad-entre-eeuu-y-china-por-los-chips-encuentra-un-nuevo-punto-algido-1145996031.html

    GarryB likes this post

    Kiko
    Kiko


    Posts : 2839
    Points : 2883
    Join date : 2020-11-11
    Age : 75
    Location : Brasilia

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  Kiko Sat Nov 25, 2023 7:04 pm

    BlYD plans to build world's largest sodium battery factory in China, by Brazilian outlet Brasil 247. 11.25.2023.

    The contract was signed by representatives of Findreams Battery, BYD's battery subsidiary, and Chinese electric tricycle manufacturer Huaihai Group.

    BYD has struck a deal valued at 10 billion yuan (nearly $ 1.43 billion) for what the company says will be the construction of the world's largest sodium-ion battery factory in Xuzhou, eastern China. Representatives of Findreams Battery, BYD's battery subsidiary, and Chinese electric tricycle manufacturer Huaihai Group, signed the contract.

    With the new chemical composition, it will be possible to make electric vehicles more accessible, including microcars and scooters (motorcycles). Investors want to work with a substance that has longer Energy life and that has faster charging compared to lithium batteries used in the industry today.

    BYD's first vehicle with a sodium battery should be the Seagull. The car may be released next year. Members of the Chinese company are also studying the possibility of building a factory in Europe.

    Yandex Translate from Portuguese

    https://www.brasil247.com/economia/byd-pretende-construir-a-maior-fabrica-de-baterias-de-sodio-do-mundo-na-china

    GarryB likes this post

    higurashihougi
    higurashihougi


    Posts : 3103
    Points : 3190
    Join date : 2014-08-13
    Location : A small and cutie S-shaped land.

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  higurashihougi Sun Nov 26, 2023 4:49 am

    Pure battery-electric locomotive produced by CRRC Zhuzhou (China), using lithium iron phosphate 1200kWh battery, max power 1500kW, can carry 1200 tonnes of cargo for a distance of 128km.

    The battery can be rapidly recharged with the charging speed of 1kW in one second, i.e. 1 hour to be fully recharged.

    China claimed that the new battery-electric locomotive can reduce 150 tonnes of CO2 and 1 million reiminbi fuel cost per year.

    Chinese Science and Technology - Page 4 Xe_lua10

    GarryB likes this post

    Kiko
    Kiko


    Posts : 2839
    Points : 2883
    Join date : 2020-11-11
    Age : 75
    Location : Brasilia

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  Kiko Tue Nov 28, 2023 8:42 pm

    [b[China’s New Loonsong Processor Blows Intel Out of Water, Secures Beijing’s Tech Sovereignty [/b], by Svetlana Ekimenko for Sputnikglobe.com. 11.28.2023.

    Amid the US-China trade rift, the Biden administration has been determined to clamp down on Beijing’s rapid advancements in high-tech sectors, restricting the Asian juggernaut’s access to advanced semiconductor technology, specifically ultra-modern chips, while ramping up domestic production of these components.

    Beijing has made a huge stride towards securing its tech sovereignty, launching a new generation of homegrown computer CPUs, China Central Television (CCTV) reported.

    Undaunted by Washington’s relentless efforts to hinder the Asian giant's technological rise, China's flagship computer central processing unit designer, Loongson Technology Corp, unveiled the Loongson 3A6000 desktop-grade CPU on Tuesday.

    According to a Loongson announcement previously made on the Chinese site QQ.com, the Loongson 3A6000 uses proprietary instruction set architecture (ISA), Loongson Architecture (LoongArch), that has nothing to do with architectures designed outside China. The self-developed CPU can be used in multiple applications, across different platforms, for complex tasks, as per Loongson, cited by local media reports. Furthermore, the company also unveiled a printer main control chip, the Loongson 2P0500. The trailblazer chip is described as being the first based on an independent command system.

    According to Loongson, which was added to a US trade blacklist this year, the performance of the quad-core 3A6000 processor, which integrates four compute cores boasting 2.5 GHz CPU clock speed, is on par with Intel’s desktop models. Performance tests of Loongson 3A6000 carried out by the China Electronics Technology Standardization Institute reportedly suggested that the processor rivals Intel’s 10th generation quad-core processors launched in 2020.

    Founded by chief architect Hu Weiwu, Loongson Technology Corp originated as a research team under the Chinese Academy of Sciences. It has been on a mission to achieve independent CPU design, and slash China's reliance on American semiconductor producers like Intel and AMD.

    LoongArch, which the company has been working on for over 20 years, was originally based on the MIPS32 / MIPS64, as well as RISC-V ISAs. MIPS (Microprocessor without Interlocked Pipelined Stages), is developed by MIPS Computer Systems (currently MIPS Technologies), based in the United States. In late 2020, Loongson is said to have launched its home-grown 3A5000 CPU, based on process node of 14 nm. However, the US government prohibited Chinese semiconductor manufacturers from acquiring instruments and technologies required to produce chips with dimensions of 14 nm/16 nm or less, among other restrictions. As the US-China tech rivalry heated up, the Chinese government pledged more resources to support the development of crucial technologies.

    Semiconductor Trade War

    As competition with China became the primary strategic focus of US foreign policy, the tit-for-tat between Washington and Beijing over microchip manufacturing techniques escalated on October 7, 2022. That is when the Biden administration rolled out extensive restrictions on Beijing’s chip manufacturing industry. The measures required licenses for those companies that export chips to China using US tools or software, irrespective of where they are made in the world. The restrictions were preceded by President Joe Biden signing into law a bipartisan CHIPS and Science Act, which includes more than $52 billion for US companies producing semiconductors, as well as billions more in tax credits to encourage investment in the industry. Prior to that, under the Trump administration, the US and its Western allies targeted the People's Republic's telecom giants, such as Huawei, citing "security" concerns.

    Forced to import technology for its massive tech manufacturing industries, the Chinese government has embarked on a massive effort in recent years to master advanced chipmaking. Furthermore, in response to US restrictions, the East Asian country has moved to restrict exports of some of the key minerals used to manufacture those chips. New export controls on gallium and germanium came into force on August 1, restricting the sale abroad of eight products containing gallium and six containing germanium - two metals used to make semiconductors.

    However, despite industry warnings that Biden's semiconductor spat with China could backfire and threatened to sink the administration’s ambitious domestic microchip manufacturing goals, Washington was undeterred. Earlier this year, the White House warned the People's Republic of China about its intent to further tighten export rules for chipmaking tools and AI chips.

    China, the largest global semiconductors market, has repeatedly warned that by imposing restrictions on normal trade, the United States will end up harming itself as well as other market players.
    "The US measures to restrict chip exports to China violate market rules and lead to fragmentation in the global semiconductors market, which not only harms lawful rights and interests of Chinese companies, but also significantly affects the interests of semiconductors manufacturers throughout the world, including in the US," China's Commerce Ministry spokesman He Yadong said in September.

    https://sputnikglobe.com/20231128/chinas-new-loonsong-processor-blows-intel-out-of-water-secures-beijings-tech-sovereignty-1115258631.html.

    GarryB likes this post

    lancelot
    lancelot


    Posts : 2696
    Points : 2694
    Join date : 2020-10-18

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  lancelot Tue Nov 28, 2023 8:59 pm

    Kiko wrote:China’s New Loonsong Processor Blows Intel Out of Water, Secures Beijing’s Tech Sovereignty
    The latest Loongson hardly "blows Intel out of water". It is a vast improvement over what Loongson used to sell, but it still has a lower clockspeed, and performance more like last generation processors. It is a quad core with two threads per core. It probably has similar performance to a quad-core AMD Zen processor. Which is good enough for a lot of applications but won't dethrone either Intel or AMD. It also uses its own instruction set architecture based on MIPS RISC so it won't be compatible with x86 or have nearly the same amount of applications for it.

    This is a great step forward showing how much indigenous Chinese CPU design and manufacturing has advanced but let's not exaggerate its performance.
    GarryB
    GarryB


    Posts : 38996
    Points : 39492
    Join date : 2010-03-30
    Location : New Zealand

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  GarryB Wed Nov 29, 2023 6:37 am

    This is a great step forward showing how much indigenous Chinese CPU design and manufacturing has advanced but let's not exaggerate its performance.

    Well to be fair you are comparing a Chinese chip of domestic design and manufacture with Intel chips likely made with the support of the rest of the world including materials imported from China.

    Well done China... a good start, and I am sure you will build and develop from this and get even better.

    kvs likes this post

    Kiko
    Kiko


    Posts : 2839
    Points : 2883
    Join date : 2020-11-11
    Age : 75
    Location : Brasilia

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  Kiko Thu Dec 21, 2023 4:52 pm

    China company achieves breakthrough in chip-making technology, says media, 12.21.2023.

    This would bring the technology of China'S SMEE closer to the Dutch ASML, the industry leader in chip lithography machines. The feat is essential for the production of smartphones and electric vehicles.
    Shanghai Micro Electronics Equipment Group Co. (SMEE) has achieved a technological breakthrough in chip-making equipment, a source told the US agency Bloomberg, quoted on Wednesday (20).

    SMEE has developed a lithography machine that can be used to make 28-nanometer chips, the Zhangjiang Group said on Tuesday on social network WeChat. The conglomerate has a controlling stake in Shanghai Zhangjiang Hi-Tech Park Development Co. on the exchange, which is SMEE's fourth-largest shareholder, according to data provider Tianyancha.

    Although 28nm chips were first made available in 2011, this breakthrough from SMEE means that China may have narrowed the gap on the industry leader by several years. Previously, China's own lithography technology was behind ASML by about 20 years. 28nm chips remain essential for a multitude of products, including smartphones and electric vehicles.

    Zhangjiang shares rose as much as 8% in response to the announcement, although the company later removed the 28 nm references.

    The news comes despite the fact that the company has been blacklisted in the US since the end of 2022.

    Washington has taken steps in recent years to stave off China's technological advances, including its attempt to become self-sufficient in semiconductors and chipmaking technology, including lithography. The measures cover places such as the Netherlands, Japan, South Korea and Taiwan.

    SMEE is considered by experts to be China's best hope to compete with Dutch ASML, which holds a monopoly on state-of-the-art extreme ultraviolet lithography systems needed for Advanced Semiconductor Manufacturing.

    Yandex Translate from Portuguese

    https://sputniknewsbr.com.br/20231221/empresa-da-china-consegue-avanco-em-tecnologia-de-criacao-de-chips-diz-midia-32141382.html

    GarryB and kvs like this post

    GarryB
    GarryB


    Posts : 38996
    Points : 39492
    Join date : 2010-03-30
    Location : New Zealand

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  GarryB Fri Dec 22, 2023 5:40 am

    The dutch seem to hold a monopoly, but what are they actually doing to improve the technology over time and what are their areas of expertise to take it further?

    I honestly think it is a good thing for China and Russia and other countries to develop technologies to push the technology forward and even if they don't get smaller, they can work out how to reduce costs and make it faster to produce chips that cost less.
    Tsavo Lion
    Tsavo Lion


    Posts : 5815
    Points : 5771
    Join date : 2016-08-15
    Location : AZ, USA

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  Tsavo Lion Tue Feb 06, 2024 10:21 pm

    https://www.scmp.com/news/china/science/article/3250752/nowhere-hide-chinese-scientists-develop-game-changing-military-surveillance-device-electronic
    Isos
    Isos


    Posts : 11301
    Points : 11271
    Join date : 2015-11-06

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  Isos Tue Feb 06, 2024 11:15 pm

    GarryB wrote:The dutch seem to hold a monopoly, but what are they actually doing to improve the technology over time and what are their areas of expertise to take it further?

    I honestly think it is a good thing for China and Russia and other countries to develop technologies to push the technology forward and even if they don't get smaller, they can work out how to reduce costs and make it faster to produce chips that cost less.

    IMO some 90% of electronics that we use don't need tge latest abd smallest chips anyway.

    Russian kalibr was found to use 80s electronics and it does the job very well.

    Having chips that are 1cm wider and 20grams heavier in your car, missiles, militaty hardware, hospital hardware or homes won't be a big impact.

    Maybe for stuff like Smartphobes and very small gadget like watches it will matter but who cares. It's not the most important, not a big deal.

    Another very important aspect is electronics designed for space applications and Russia isn't far behind the west. They have very good electronics for it and can create any satelitte they want.

    GarryB likes this post

    kvs
    kvs


    Posts : 15130
    Points : 15267
    Join date : 2014-09-11
    Location : Turdope's Kanada

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  kvs Wed Feb 07, 2024 1:28 am

    Having 28 nm lithography machines implies a 14 nm with marginal effort. It is the design of the transistors and control of current leakage
    that is the challenge at these scales. China is clearly progressing on the latter front. So I expect it to have 10 nm lithography in the
    next few years (less than 5). All claims about 3 and 5 nm process resolutions is marketing BS. They are referring to "effective resolutions"
    which reflect design tricks to squeeze out faster switching without actually scaling down the ICs. The era of simple resolution driven
    speed increases stopped at the 90 nm level. Smaller sizes have been about transistor scale design. Of course die shrinks have been
    a factor, but this has reached the limit. You can't have deterministic functionality of ICs when their components are in the quantum
    noise regime. 2 nm transistors are turning on and off at random. Operating them at liquid nitrogen temperatures is totally impractical.

    There is even discussion of somehow dealing with non-deterministic behaviour from ICs. This is not going to fly without a fundamental
    reworking of the utilization. So some form of "quantum" computing with a derivative of ternary logic (with a variable 3rd state) will be
    needed. Don't expect any such computers soon.

    GarryB likes this post

    lancelot
    lancelot


    Posts : 2696
    Points : 2694
    Join date : 2020-10-18

    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  lancelot Wed Feb 07, 2024 3:24 am

    They are still scaling down the ICs. Try comparing the MTr/mm2 of different processes. This is the number of transistors (in millions) per area in square millimeters.

    TSMC's 16nm process had a transistor density of 28.88 MTr/mm2. Their 10nm process had a density of 52.51 MTr/mm2. Their 7nm process initially had a density of 96.5 MTr/mm2. Their 5nm process had a density of 138.2 MTr/mm2. Their 3nm process has a density of 183 MTr/mm2.

    They basically switched to vertical transistors. So you can keep the same amount of electrons with less base area in the transistor. But SRAM cell scaling basically seems to have died at around 5nm. It is only logic that continues to shrink in size. They might need to develop a new memory technology to replace conventional SRAM.

    SMIC's 7nm N+2 process has 97.9Mtr/mm2 density. So it is basically a decent 7nm process.
    Tsavo Lion
    Tsavo Lion


    Posts : 5815
    Points : 5771
    Join date : 2016-08-15
    Location : AZ, USA

    Chinese Science and Technology - Page 4 Empty new China's research bases in Antarctica

    Post  Tsavo Lion Thu Feb 08, 2024 7:53 pm

    https://youtu.be/1fPp2SNQ3oo

    https://youtu.be/27sCavQTtGc

    Sponsored content


    Chinese Science and Technology - Page 4 Empty Re: Chinese Science and Technology

    Post  Sponsored content


      Current date/time is Sun Apr 28, 2024 7:25 am